Mylinking™ የአውታረ መረብ ፓኬት ደላላ(NPB) ML-NPB-4810

48*10GE SFP+፣ ከፍተኛ 480ጂቢበሰ

አጭር መግለጫ፡-

Mylinking™ Network Packet Broker (NPB) የML-NPB-4810 ከፍተኛ ባለ 48 10-GIGABit SFP+ ቦታዎችን (ከጂጋቢት ጋር ተኳሃኝ)፣ ባለ 10-ጊጋቢት ነጠላ/ባለብዙ ሞድ ኦፕቲካል ሞጁሎችን (ትራንስሴይቨር) እና 10-ጊጋቢት ኤሌክትሪክ ሞጁሎችን በተለዋዋጭ ይደግፋል። (transceivers).የ LAN / WAN ሁነታን ይደግፉ;የኦፕቲካል መሰንጠቅን ይደግፋል ወይም የማንጸባረቅ መዳረሻን ማለፍ;እንደ L2-L7 ማጣሪያ ያሉ የዲፒአይ ተግባራትን ይደግፋል፣ በፍሰት ማጣሪያ ዥረት መልቀቅ፣ የክፍለ-ጊዜ ፍለጋን፣ ማባዛትን፣ መቆራረጥን፣ ስሜትን መቀነስ/ጭንብል፣ የቪዲዮ ዥረት መለየት፣ P2P ውሂብ መለየት፣ የውሂብ ጎታ መለያ፣ የውይይት መሳሪያ መለያ፣ የኤችቲቲፒ ፕሮቶኮል መለያ፣ ዥረት መለየት እና ዥረት እንደገና ማደራጀት.የአውታረ መረብ ፓኬት ደላላ (NPB) እስከ 480Gbps የማቀናበር አቅም።


የምርት ዝርዝር

የምርት መለያዎች

1- አጠቃላይ እይታዎች

  • የውሂብ ቀረጻ መሳሪያ (48ports * 10GE SFP+ ወደብ) ሙሉ የእይታ ቁጥጥር
  • ሙሉ የውሂብ መርሐግብር አስተዳደር መሣሪያ (ከፍተኛ 24*10GE ወደቦች duplex Rx/Tx ሂደት)
  • ሙሉ የቅድመ-ማቀነባበር እና ዳግም ማከፋፈያ መሳሪያ(ባለሁለት አቅጣጫዊ ባንድዊድዝ 480Gbps)
  • ከተለያዩ የአውታረ መረብ ክፍሎች የአገናኝ ውሂብ መሰብሰብ እና መቀበል የሚደገፍ
  • ከተለያዩ የመቀየሪያ ማዞሪያ አንጓዎች የተደገፈ የአገናኝ ውሂብ መሰብሰብ እና መቀበል
  • የሚደገፍ ጥሬ እሽግ ተይዟል፣ ተለይቷል፣ ተተነተነ፣ በስታቲስቲክስ ማጠቃለል እና ምልክት ተደርጎበታል።
  • የBigData Analysis፣ የፕሮቶኮል ትንተና፣ የምልክት ትንተና፣ የደህንነት ትንተና፣ የአደጋ አስተዳደር እና ሌሎች የሚፈለጉ ትራፊክ መሣሪያዎችን ለመከታተል የሚደገፍ የጥሬ ፓኬት ውጤት።
  • የሚደገፍ ቅጽበታዊ ፓኬት ቀረጻ ትንተና፣ የውሂብ ምንጭ መለየት እና የእውነተኛ ጊዜ/ታሪካዊ የአውታረ መረብ ትራፊክ ፍለጋ
ML-NPB-48106

2- የስርዓት እገዳ ንድፍ

ML-NPB-48109

3- ብልህ የትራፊክ ሂደት ችሎታዎች

የምርት ማብራሪያ

ASIC ቺፕ ፕላስ Multicore ሲፒዩ
የአውታረ መረብ ፓኬት ደላላ እስከ 480Gbps የማሰብ ችሎታ ያለው የትራፊክ ሂደት

የምርት መግለጫ1

10GE ማግኛ
10GE 48 ports፣ Max 24*10GE ports Rx/Tx duplex processing፣ እስከ 480Gbps Traffic Data Transceiver በአንድ ጊዜ፣ ለኔትወርክ መረጃ ማግኛ፣ ቀላል ቅድመ-ማቀነባበር

የምርት መግለጫ (2)

የውሂብ ማባዛት
ፓኬት ከ 1 ወደብ ወደ ብዙ N ወደቦች ተባዝቷል ፣ ወይም ብዙ N ወደቦች ተደምረዋል ፣ ከዚያ ወደ ብዙ M ወደቦች ተባዝቷል

የምርት መግለጫ (3)

የውሂብ ስብስብ
ፓኬት ከ 1 ወደብ ወደ ብዙ N ወደቦች ተባዝቷል ፣ ወይም ብዙ N ወደቦች ተደምረዋል ፣ ከዚያ ወደ ብዙ M ወደቦች ተባዝቷል

የምርት መግለጫ (4)

የውሂብ ስርጭት / ማስተላለፍ
መጪውን ሜታዳታ በትክክል መድቦ የተለያዩ የውሂብ አገልግሎቶችን ተጥሏል ወይም ወደ ብዙ የበይነገጽ ውጽዓቶች በተጠቃሚው አስቀድሞ በተገለጸው ደንብ ተላልፏል።

የምርት መግለጫ (5)

የውሂብ ማጣሪያ
እንደ SMAC፣ DMAC፣ SIP፣ DIP፣ Sport፣ Dport፣ TTL፣ SYN፣ ACK፣ FIN፣ የኤተርኔት አይነት መስክ እና እሴት፣ የአይፒ ፕሮቶኮል ቁጥር፣ TOS፣ ወዘተ ያሉ የሚደገፉ የL2-L7 ፓኬት ማጣሪያ ማዛመድ እንዲሁ የሚለዋወጥ ጥምረትን ይደግፋል። ወደ 2000 የማጣሪያ ደንቦች.

የምርት ማብራሪያ

የመጫኛ ሚዛን
የሚደገፍ ጭነት ሚዛን Hash ስልተ ቀመር እና በክፍለ-ጊዜ ላይ የተመሰረተ የክብደት መጋራት ስልተ ቀመር በ L2-L7 ንብርብር ባህሪያት መሰረት የወደብ ውፅዓት ትራፊክ የጭነት ሚዛን ተለዋዋጭ መሆኑን ለማረጋገጥ

የምርት መግለጫ (6)

UDF ተዛማጅ
በአንድ ፓኬት የመጀመሪያዎቹ 128 ባይት ውስጥ የማንኛውም ቁልፍ መስክ መመሳሰልን ደግፏል።የማካካሻ እሴት እና ቁልፍ የመስክ ርዝመት እና ይዘትን ያበጁ እና የትራፊክ ውፅዓት ፖሊሲን በተጠቃሚው ውቅር መሠረት መወሰን

የምርት መግለጫ (7)
የምርት መግለጫ (8)
የምርት መግለጫ (9)

VLAN መለያ ተሰጥቶታል።

VLAN መለያ ያልተሰጠው

VLAN ተተካ

በአንድ ፓኬት የመጀመሪያዎቹ 128 ባይት ውስጥ የማንኛውም ቁልፍ መስክ መመሳሰልን ደግፏል።ተጠቃሚው የማካካሻ እሴቱን እና የቁልፍ የመስክ ርዝመትን እና ይዘቱን ማበጀት እና በተጠቃሚው ውቅረት መሰረት የትራፊክ ውፅዓት ፖሊሲን መወሰን ይችላል።

የምርት መግለጫ (10)

የማክ አድራሻ መተካት
የመድረሻ MAC አድራሻን በዋናው የውሂብ ጥቅል ውስጥ መተካትን ይደግፋል ፣ ይህም በተጠቃሚው ውቅር መሠረት ሊተገበር ይችላል

የምርት መግለጫ (11)

3ጂ/4ጂ የሞባይል ፕሮቶኮል እውቅና/መመደብ
እንደ (Gb, Gn, IuPS, S1-MME, S1-U, X2-U, S3, S4, S5, S6a, S11, ወዘተ በይነገጽ) ያሉ የሞባይል አውታረ መረብ ክፍሎችን ለመለየት ይደገፋል.በተጠቃሚ ውቅሮች ላይ በመመስረት እንደ GTPV1-C፣ GTPV1-U፣ GTPV2-C፣ SCTP እና S1-AP ባሉ ባህሪያት ላይ በመመስረት የትራፊክ ውፅዓት ፖሊሲዎችን መተግበር ይችላሉ።

የምርት መግለጫ (2)

የአይፒ ዳታግራም እንደገና መሰብሰብ
የሚደገፈው የአይፒ ፍርፋሪ መለየት እና የአይፒ ስብርባሪዎችን እንደገና ማቀናጀትን ይደግፋል በሁሉም የአይፒ ቁርጥራጭ ፓኬቶች ላይ የ L4 ባህሪ ማጣሪያን ተግባራዊ ለማድረግ።የትራፊክ ውፅዓት ፖሊሲን ተግባራዊ አድርግ።

የምርት መግለጫ (1)

ወደቦች ጤናማ ማወቂያ
ከተለያዩ የውጤት ወደቦች ጋር የተገናኙ የኋለኛው መጨረሻ የክትትል እና የትንታኔ መሳሪያዎች የአገልግሎት ሂደት ጤናን በእውነተኛ ጊዜ ማወቂያ ይደገፋል።የአገልግሎት ሂደቱ ሳይሳካ ሲቀር, የተሳሳተ መሳሪያው በራስ-ሰር ይወገዳል.የተበላሸው መሣሪያ ከተመለሰ በኋላ የባለብዙ ወደብ ጭነት ማመጣጠን አስተማማኝነት ለማረጋገጥ ስርዓቱ በራስ-ሰር ወደ ጭነት ሚዛን ቡድን ይመለሳል።

የምርት መግለጫ (2)

የጊዜ ማህተም
ጊዜውን ለማስተካከል የNTP አገልጋይን ለማመሳሰል የተደገፈ እና መልእክቱን ወደ ፓኬቱ ውስጥ አንጻራዊ በሆነ የጊዜ መለያ መልክ በማዕቀፉ መጨረሻ ላይ ባለው የጊዜ ማህተም ምልክት በ nanoseconds ትክክለኛነት

የምርት ማብራሪያ

VxLAN፣ VLAN፣ MPLS መለያ ያልተሰጠው
የሚደገፈው VxLAN፣ VLAN፣ MPLS አርዕስት በመጀመሪያው የውሂብ ፓኬት ውስጥ ተነቅሎ ይወጣል።

የምርት መግለጫ (3)

የውሂብ ማባዛት።
የሚደገፈው ወደብ ላይ የተመሰረተ ወይም የፖሊሲ ደረጃ ስታትስቲካዊ ጥራቶች የበርካታ የስብስብ ምንጭ ውሂብን ለማነፃፀር እና የተመሳሳዩን የውሂብ ፓኬት በተወሰነ ጊዜ ይደግማል።ተጠቃሚዎች የተለያዩ የፓኬት መለያዎችን (dst.ip, src.port, dst.port, tcp.seq, tcp.ack) መምረጥ ይችላሉ.

የምርት መግለጫ (1)

የውሂብ መቆራረጥ
የሚደገፍ በፖሊሲ ላይ የተመሰረተ የጥሬ መረጃ ቁራጭ (64-1518 ባይት አማራጭ) እና የትራፊክ ውፅዓት ፖሊሲ በተጠቃሚ ውቅር ላይ በመመስረት ሊተገበር ይችላል።

የምርት መግለጫ (4)

የተመደበ ውሂብ ተደብቋል/ጭንብል
ሚስጥራዊነት ያለው መረጃን የመከለል አላማን ለማሳካት በጥሬው መረጃ ውስጥ ማንኛውንም ቁልፍ መስክ ለመተካት የሚደገፍ ፖሊሲን መሰረት ያደረገ ጥራጥሬ።በተጠቃሚ ውቅር መሠረት የትራፊክ ውፅዓት ፖሊሲ ሊተገበር ይችላል።

የምርት መግለጫ (14)

መሿለኪያ ፕሮቶኮል መለየት
የሚደገፈው እንደ GTP/GRE/PPTP/L2TP/PPPOE ያሉ የተለያዩ የመሿለኪያ ፕሮቶኮሎችን በራስ ሰር መለየት።በተጠቃሚው አወቃቀሩ መሰረት, የትራፊክ ውፅዓት ስልት በዋሻው ውስጣዊ ወይም ውጫዊ ንብርብር መሰረት ሊተገበር ይችላል

የምርት መግለጫ (5)

የ APP ንብርብር ፕሮቶኮል መለየት
እንደ ኤፍቲፒ፣ HTTP፣ POP፣ SMTP፣ DNS፣ NTP፣ BitTorrent፣ Syslog፣ MySQL፣ MsSQL እና የመሳሰሉት የሚደገፉ በብዛት ጥቅም ላይ የዋለ የመተግበሪያ ንብርብር ፕሮቶኮል መለያ

የምርት መግለጫ (6)

የቪዲዮ ትራፊክ ማጣሪያ
የሚደገፍ የቪዲዮ ፕሮቶኮል መለየት እንደ: Youtube, RTSP, MSTP, Youku, ወዘተ. በተጠቃሚ ውቅር መሠረት የትራፊክ ውፅዓት ፖሊሲ ሊተገበር ይችላል.

የምርት መግለጫ (7)

የደብዳቤ ፕሮቶኮል መለያ
የሚደገፍ የኢሜል ፕሮቶኮል እንደ፡ SMTP፣ POP3፣ IMAP፣ SMTP፣ ወዘተ የመሳሰሉትን መለየት። በተጠቃሚ ውቅር መሠረት የትራፊክ ውፅዓት ፖሊሲ ሊተገበር ይችላል።

የምርት መግለጫ (8)

የጨዋታ ፕሮቶኮል መለያ
የተደገፈ የመለየት ጨዋታ ፕሮቶኮል እንደ፡ ወርልድ ኦፍ ዋርክራፍት፣ ዋርክራፍት፣ ግማሽ ህይወት፣ የጦር ሜዳ፣ በእንፋሎት መድረክ ላይ ያሉ ጨዋታዎች፣ ወዘተ. በተጠቃሚ ውቅር መሰረት የትራፊክ ውፅዓት ፖሊሲ ሊተገበር ይችላል።

የምርት መግለጫ (9)

የመስመር ላይ የውይይት መሳሪያዎች መለየት
የሚደገፍ የፈጣን መልእክት ፕሮቶኮል መለየት፡- Messager፣ WhatsAPP፣ Skype፣ Wechat፣ QQ፣ Alitalk፣ ወዘተ. በተጠቃሚ ውቅር መሠረት የትራፊክ ውፅዓት ፖሊሲ ሊተገበር ይችላል።

የምርት መግለጫ (2)

ፓኬት ማንሳት
የሚደገፍ የወደብ ደረጃ፣ የፖሊሲ ደረጃ ፓኬት ቀረጻ ከምንጭ አካላዊ ወደቦች በአምስት-ቱፕል መስክ ማጣሪያ ውስጥ በቅጽበት

የምርት መግለጫ (15)

የእውነተኛ ጊዜ የትራፊክ አዝማሚያ ክትትል
የተደገፈ የእውነተኛ ጊዜ ክትትል እና ስታቲስቲክስ በወደብ ደረጃ እና በፖሊሲ ደረጃ የውሂብ ትራፊክ ፣ የ RX / TX መጠንን ለማሳየት ፣ ባይት መቀበል / መላክ ፣ ቁጥር ፣ RX / TX የስህተቶች ብዛት ፣ ከፍተኛው የገቢ / የፀጉር መጠን እና ሌሎችም። ቁልፍ አመልካቾች.

የምርት መግለጫ (10)

የትራፊክ አዝማሚያ አስደንጋጭ
የሚደገፈው የወደብ ደረጃ፣ የፖሊሲ ደረጃ የውሂብ ትራፊክ ክትትል ማንቂያዎች ለእያንዳንዱ ወደብ የማንቂያ ገደቦችን በማዘጋጀት እና የእያንዳንዱ የፖሊሲ ፍሰት ፍሰት።

የምርት መግለጫ (11)

ታሪካዊ የትራፊክ አዝማሚያ ግምገማ
የሚደገፍ የወደብ ደረጃ፣ የፖሊሲ ደረጃ ወደ 2 ወራት የሚጠጋ ታሪካዊ የትራፊክ ስታቲስቲክስ ጥያቄ።በTX/RX ተመን፣ TX/RX ባይት፣ TX/RX መልእክቶች፣ TX/RX የስህተት ቁጥር ወይም ሌላ መረጃ ለመምረጥ በቀኖቹ፣ ሰዓቶች፣ ደቂቃዎች እና ሌሎች ጥራቶች መሠረት።

የምርት መግለጫ (3)

የፓኬት ትንተና
የተቀረጸውን ዳታግራም ትንተና ደግፏል፣ ይህም ያልተለመደ ዳታግራም ትንተና፣ የዥረት ዳግም ውህደት፣ የማስተላለፊያ መንገድ ትንተና እና ያልተለመደ የዥረት ትንተናን ጨምሮ።

የምርት መግለጫ (15)

የተዋሃደ የቁጥጥር መድረክ
የሚደገፍ mylinking™ የታይነት መቆጣጠሪያ መድረክ መዳረሻ

የምርት መግለጫ (16)

1+1 ተደጋጋሚ የኃይል ስርዓት(RPS)
የሚደገፈው 1+1 ባለሁለት ተደጋጋሚ የኃይል ስርዓት

4- የተለመዱ የመተግበሪያ አወቃቀሮች

4.1 mylinking™ የአውታረ መረብ ፓኬት ደላላ የተማከለ ትራፊክ መቅረጽ፣ ማባዛት/ማሰባሰብ ማመልከቻ(እንደሚከተለው)

ML-NPB-48102

4.2 mylinking™ የአውታረ መረብ ፓኬት ደላላ የተዋሃደ የጊዜ ሰሌዳ መተግበሪያ ለመረጃ ክትትል (በሚከተለው)

ML-NPB-48108

4.3 mylinking™ የአውታረ መረብ ፓኬት ደላላ ውሂብ ማባዛት መተግበሪያ (እንደሚከተለው)

ML-NPB-48104

4.4 mylinking™ የአውታረ መረብ ፓኬት ደላላ ውሂብ መቆራረጥ መተግበሪያ (እንደሚከተለው)

ML-NPB-48103

4.5 mylinking™ የአውታረ መረብ ፓኬት ደላላ ድብልቅ መዳረሻ መተግበሪያ የውሂብ ማግኛ/ማባዛት/ማሰባሰብ (እንደሚከተለው)

ML-NPB-48101

4.6 mylinking™ የአውታረ መረብ ፓኬት ደላላ ዳታ መሸፈኛ መተግበሪያ (በሚከተለው)

ML-NPB-48107

5- ዝርዝር መግለጫዎች

ML-NPB-4810 Mylinking™ አውታረ መረብ ፓኬት ደላላ (NPB) ተግባራዊ መለኪያዎች

የአውታረ መረብ በይነገጽ 10GE SFP + ወደቦች 48 * SFP + ቦታዎች;ድጋፍ 10GE / GE;ነጠላ እና ባለብዙ ሞድ ፋይበር ድጋፍ
ከባንድ አስተዳደር በይነገጽ ውጪ 1 * 10/100/1000M የኤሌክትሪክ በይነገጽ;
የማሰማራት ሁነታ 10Gigabit spectral ቀረጻ 24*10GE ባለሁለት አቅጣጫዊ ፋይበር ማያያዣዎችን መቅረጽ ይደግፉ
10Gigabit መስታወት ቀረጻ እስከ 48 የሚደርስ የመስታወት ርዝመት የትራፊክ መግቢያን ይደግፉ
የጨረር Splitter ግብዓት የግቤት ወደብ ነጠላ-ፋይበር መግቢያን ሊደግፍ ይችላል;
ወደብ ማባዛት። የግቤት ወደቦችን እንደ የውጤት ወደቦች በአንድ ጊዜ ይደግፉ;
የትራፊክ ውፅዓት 48 * 10GE ወደቦች የትራፊክ ውጤትን ይደግፉ;
የትራፊክ ማባዛት / ማሰባሰብ / ማከፋፈል

ድጋፍ

የመስታወት ማባዛት/መደመርን የሚደግፉ QTYዎች አገናኝ

1 -> N አገናኝ ትራፊክ ማባዛት (N <48)

N-> 1 አገናኝ የትራፊክ ድምር (N <48)

ጂ ቡድን(ኤም-ኤን አገናኝ) የትራፊክ መባዛት እና ድምር [G * (M + N) <48]

በትራፊክ መለያ ላይ የተመሰረተ ስርጭት

ድጋፍ

በአይፒ / ፕሮቶኮል / ወደብ ላይ የተመሠረተ ስርጭት አምስት ቱፕል የትራፊክ መለያ

ድጋፍ

በፕሮቶኮል ራስጌ ላይ የተመሰረተ የማከፋፈያ ስልት ቁልፍ ምልክት የተደረገበት ትራፊክ ይለያል

ድጋፍ

የዲፒአይ ትንተና ተግባራት

የሚደገፍ የትራንስፖርት ንብርብር ፕሮቶኮል ተመጣጣኝ ትንተና፣ የዩኒካስት ስርጭት መልቲካስት ተመጣጣኝ ትንተና፣ የአይፒ ትራፊክ ምጣኔ ትንተና፣ የዲፒአይ መተግበሪያ ተመጣጣኝ ትንተና።በትራፊክ መጠን ትንተና አሰጣጥ ጊዜ ናሙና ላይ የተመሠረተ የሚደገፍ የውሂብ ይዘት።በክፍለ-ጊዜ ፍሰት ላይ በመመስረት የሚደገፍ የውሂብ ትንተና እና ስታቲስቲክስ።

የኤተርኔት ኢንካፕሌሽን ነፃነት

ድጋፍ

የCONSOLE አውታረ መረብ አስተዳደር

ድጋፍ

IP/WEB አውታረ መረብ አስተዳደር

ድጋፍ

SNMP አውታረ መረብ አስተዳደር

ድጋፍ

TELNET/SSH አውታረ መረብ አስተዳደር

ድጋፍ

SYSLOG ፕሮቶኮል

ድጋፍ

የተጠቃሚ ማረጋገጫ ተግባር በተጠቃሚ ስም ላይ በመመስረት የይለፍ ቃል ማረጋገጫ

ኤሌክትሪክ(1+1 ተደጋጋሚ የኃይል ስርዓት-RPS)

ደረጃ የተሰጠው የአቅርቦት ቮልቴጅ

AC110-240V/DC-48V [አማራጭ]

ደረጃ የተሰጠው የኃይል ድግግሞሽ

AC-50HZ

ደረጃ የተሰጠው የግቤት ወቅታዊ

AC-3A / DC-10A

ደረጃ የተሰጠው የኃይል ተግባር

200 ዋ

አካባቢ

የአሠራር ሙቀት

0 - 50 ℃

የማከማቻ ሙቀት

-20-70 ℃

የሚሰራ እርጥበት

10% -95% ፣ የማይበሳጭ

የተጠቃሚ ውቅር

የኮንሶል ውቅር

RS232 በይነገጽ፣115200፣8፣N፣1

የይለፍ ቃል ማረጋገጫ

ድጋፍ

የመደርደሪያ ቁመት

የመደርደሪያ ቦታ (ዩ)

1U 485 ሚሜ * 44.5 ሚሜ * 350 ሚሜ


  • ቀዳሚ፡
  • ቀጣይ፡-

  • መልእክትህን እዚህ ጻፍ እና ላኩልን።